Backside power delivery

From Wikipedia, the free encyclopedia

Backside power delivery (BPD) is an advanced semiconductor technology that relocates the power delivery network from the frontside to the backside of a silicon wafers. This technique aims to improve power efficiency, performance, and design flexibility in integrated circuits (ICs).

Overview[edit]

Traditionally, power and signal interconnects are both placed on the frontside of the silicon wafer. BPD separates these functions by placing power delivery interconnects on the backside of the wafer, thereby freeing up more space for signal interconnects on the frontside. This separation can lead to improved power integrity, reduced signal interference, and enhanced performance.

Development and Adoption[edit]

Intel's PowerVia Technology[edit]

Intel has been a pioneer in BPD with its PowerVia technology, scheduled for introduction in its 20A process node in 2024. PowerVia has demonstrated significant benefits, including a 6% increase in operating frequency, 30% reduction in power loss, and more compact designs with improved density[1].

PowerVia involves constructing transistors on the frontside of the silicon wafer while routing power interconnects on the backside. This process requires drilling deep, narrow through-silicon vias (TSVs) to connect the power interconnects to the transistors. Intel has developed methods to ensure that these TSVs do not compromise the reliability or thermal management of the chip[1]. Intel's Blue Sky Creek test chip demonstrated the benefits of this approach, showing over 90% cell utilization and potential cost reduction[2].

TSMC's N2 and A16 Nodes[edit]

Taiwan Semiconductor Manufacturing Company (TSMC) has explored BPD, initially planning to introduce it in their N2P process node. However, TSMC decided to delay the incorporation of BPD due to cost and complexity considerations. Instead, they will focus on other enhancements, such as the NanoFlex technology, which allows for greater optimization of performance, power, and area (PPA) through flexible cell design[3].

TSMC's A16 process node, set to debut in 2025, integrates the Super PowerRail architecture along with nanosheet transistors. This combination aims to enhance computational efficiency and reduce energy consumption. The A16 process is designed to alleviate IR drop, simplify power distribution, and allow for tighter chip packaging. TSMC claims that A16 can achieve a 10% higher clock speed or a 15% to 20% decrease in power consumption compared to the N2P node, while also increasing chip density by up to 10%.[2]

Technical Benefits[edit]

Improved Power Integrity[edit]

By moving the power delivery network to the backside, BPD reduces the voltage droop experienced by transistors. This is because the power interconnects can be made larger and less resistive, providing a more stable power supply. This stability allows transistors to operate at higher frequencies with less risk of performance degradation[1].

Enhanced Signal Routing[edit]

With power interconnects relocated, the frontside has more space for signal routing. This reduces congestion and parasitic capacitance, leading to faster and more efficient signal transmission. The reduction in signal congestion allows for denser packing of logic cells, further enhancing the performance and efficiency of the chip[1].

Thermal Management[edit]

BPD presents new challenges and opportunities for thermal management. The relocation of power interconnects can lead to higher thermal densities, requiring innovative cooling solutions. However, it also allows for more efficient heat dissipation paths, potentially improving overall thermal performance if managed correctly[4].

Challenges[edit]

Design Complexity[edit]

Implementing BPD requires significant changes to traditional design methodologies. Engineers must adapt to new design rules and tools that account for the backside routing of power. This includes ensuring that thermal management and mechanical stresses are properly addressed, as these can impact the reliability and performance of the IC[4].

Manufacturing Costs[edit]

The process of creating BPD-enabled chips involves additional steps, such as the creation of TSVs and the handling of wafers with interconnects on both sides. These steps can increase manufacturing costs, although companies like Intel have developed methods to offset these costs by optimizing other aspects of the chip design process[1].

Future Prospects[edit]

Intel plans to integrate BPD with its RibbonFET transistors in upcoming process nodes, targeting production readiness in the first half of 2024. TSMC, while delaying BPD in its N2P node due to cost and complexity, will introduce it in the A16 node by 2025. Samsung aims to apply BPD to its 1.4-nanometer process by 2027, focusing on reducing wafer area consumption and improving power transmission.

References[edit]

  1. ^ a b c d e "Intel Is All-In on Backside Power Delivery - IEEE Spectrum". spectrum.ieee.org. Retrieved 2024-05-27.
  2. ^ a b "[News] Gearing up for Backside Power Delivery: Heated Tech War Between TSMC, Intel, and Samsung". TrendForce Insights. Retrieved 2024-05-27.
  3. ^ Shilov, Anton. "TSMC 2nm Update: N2 In 2025, N2P Loses Backside Power, and NanoFlex Brings Optimal Cells". www.anandtech.com. Retrieved 2024-05-27.
  4. ^ a b Heyman, Karen (2024-03-14). "Backside Power Delivery Adds New Thermal Concerns". Semiconductor Engineering. Retrieved 2024-05-27.